CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 二进制 转 bcd

搜索资源列表

  1. 5位BCD转化为16位二进制的PIC子程序

    0下载:
  2. 5位BCD转化为16位二进制的PIC子程序 -5 BCD into 16 binary PIC Subroutine
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:1393
    • 提供者:汪峰
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA / CPLD beginners. Including eight priority encoder, multipliers, dividers, multi-p
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:1004071
    • 提供者:leolili
  1. 二进制转BCD

    0下载:
  2. 自己做的程序,可能对你有用-own procedures that may be useful to you
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:807
    • 提供者:
  1. BCD2BIN8.rar

    0下载:
  2. BCD转BIN算法,BCD码转二进制数据。,BCD to BIN algorithm, BCD code to binary data.
  3. 所属分类:Algorithm

    • 发布日期:2017-03-27
    • 文件大小:530
    • 提供者:zjh
  1. BtoBCD

    0下载:
  2. 多位二进制数转BCD码,用verilog编写-Binary number to BCD code, prepared by verilog
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:939
    • 提供者:p
  1. bit_bcd_convert

    0下载:
  2. 二进制码流(PCM)转BCD码流的matlab代码,给需要的朋友做个参考-Binary code streams (PCM) to BCD code flow matlab code, to be a reference to a friend in need
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:2293
    • 提供者:hcf
  1. bcd_bit_convert

    0下载:
  2. BCD码流(modelsim测试时需使用)转二进制码流(PCM)的matlab代码,给需要的朋友做个参考-BCD code stream (modelsim test to use) to a binary stream (PCM) of matlab code, to be a reference to a friend in need
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:2384
    • 提供者:hcf
  1. Mars-EP1C6-F_code1

    0下载:
  2. 此包中为FPGA学习板中的基础实验代码.共包括8个实验源代码:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机和四位比较器.-In this package for the FPGA board to study the basis of the experiment code. A total of eight experiments, including source code: 8-bit priority encoder, multipliers, mul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099584
    • 提供者:sunxh092
  1. bin2bcd

    0下载:
  2. 用来将二进制的信号转化成BCD码形式的信号,用来在数码管上显示相应的数字。-To the binary signal into BCD code in the form of signals, used in the digital display the corresponding number.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:252502
    • 提供者:da
  1. shixun

    0下载:
  2. 能够实现AD0809的控制电路,并且能够实现二进制转化为BCD码的功能,是个简单电压表的程序-AD0809 can realize the control circuit, and will be able to realize the binary into BCD function, is a simple voltmeter program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:178294
    • 提供者:张帅
  1. Exchange

    0下载:
  2. 程序功能:二进制取反 、十六进制转为十进制、十进制转十六进制、BCD转10进制 、十进制转BCD码、BCD码转换为字符串、字符串转换为BCD码,非常实用,工作必备之源码-Procedures functions: binary negate, sixteen hexadecimal to decimal, decimal to hexadecimal sixteen, BCD 10 hexadecimal, decimal conversion BCD code, BCD code is con
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:3924
    • 提供者:xwb
  1. VHEX2BCD16h

    0下载:
  2. 基于vhdl的二进制转BCD码码的设计,已经经过调试,可直接使用 -Based on vhdl binary to transfer the design of BCD code, has been commissioning, can be used directly
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:866
    • 提供者:wangjiantin
  1. sting_lib

    0下载:
  2. 各种字符串函数功能的实现 比如 字符大小写转换,字符长度 二进制转BCD 一个字符转换成16进制 十进制等一些函数的实现-The realization of the function of various string function
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:7813
    • 提供者:绿茶好心情
  1. t1_bin2bcd

    0下载:
  2. 二进制转BCD的verilog程序,实现二进制数到BCD的转换,该程序具有节约FPGA的内部逻辑资源等特点- Binary to BCD s verilog procedures to achieve binary number to BCD conversion, the program has an inter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:458377
    • 提供者:宋国志
  1. B2BCD

    0下载:
  2. 基于VHDL的二进制转BCD码,简单高效,占用资源少,是国外一本最新书籍提倡的一种写法。-Binary switch based on VHDL BCD code, a simple and efficient method of resource usage, less is foreign advocates a kind of writing a new book.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:172797
    • 提供者:张瀚元
  1. BCDcoder

    0下载:
  2. 关于三位数的BCD转二进制,和二进制转BCD码。用verilog编写-BCD to Binary and Binary to BCD
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:1086
    • 提供者:
  1. BCDma-verilog

    0下载:
  2. 二进制转bcd码,很好理解,适合新手用,可以学习学习-Bcd binary switch code, well understood, suitable for novice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:221542
    • 提供者:changrenhe
  1. txdecode

    0下载:
  2. 二进制转BCD,fpga开发,交通灯控制芯片二进制转bcd码代码,函数法-binary to BCD for fpga. for traffic control chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:978
    • 提供者:蓝精灵
  1. count

    0下载:
  2. 本实验利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数。 SW0 为复位开关。当开关拨至高点平时,计数器归0,当开关拨至低电平时,计数器开始计数。 该电路包括分频电路,计数器电路,二进制转BCD 码电路和数码管显示电路。-This experiment uses VHDL hardware descr iption language to design a 0 ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:475404
    • 提供者:panda
  1. 二进制码转化为BCD码源程序

    0下载:
  2. 二进制码转化为BCD码源程序,VHDL在FPGA验证(Conversion of binary code into BCD code source program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:10240
    • 提供者:zhanglei123456
« 1 23 »
搜珍网 www.dssz.com